KLA Corporation (KLAC) is the world's leading manufacturer of yield monitoring and process control systems for the semiconductor industry, explains equity analyst Keven Young in CFRA Research's flagship newsletter, The Outlook.

The majority of KLAC's revenue is comprised of a comprehensive portfolio of inspection, metrology, and data analytics products as well as related services reported under their Semiconductor Process Control Segment.

The stock carries our highest investment recommendation of Strong Buy, or 5-STARS. This rating is based on several factors. First, we think KLAC is positioned to take advantage of higher customer capital expenditure plans.

Second, we see KLAC benefiting from several technology transitions in different aspects of the semiconductor fabrication process, from new transistor designs to small lithography patterns (EUV/High- NA), different backside power distribution methods, and more.

Third, KLAC is building out a strong recurring revenue base through long-term service contracts that will reduce the cyclicality of KLA's revenue.

Fourth, we expect KLAC to be a key beneficiary of several countries including the United States, several European countries, and China, which are all looking to boost their own chip manufacturing presences.

KLAC is likely the largest beneficiary of increasing demand for process control that is brought on by increasing chip design complexities and continued miniaturization of integrated circuits (ICs). Chip makers have seen fabrication costs soar as design and process control complexity has increased over time.

Extremely small feature sizes of leading-edge transistors make yield-killing defects nearly indistinguishable from noise and small variances, which can accumulate to produce defective wafers.

The transition from FinFET to Gate-All-Around (GAA) transistor architectures drives up process control complexity because the number of high-end film metrology layers increases by 30% and the number of critical inspection layers increases by 50%, which requires both optical inspection and multi- stack film measurements.

In addition, the adoption of EUV lithography (smaller patterns printed on wafers) into high volume manufacturing (HVM) has accelerated two market opportunities inside of the reticle inspection market. The first is patterned reticle inspection, as these smaller patterns require more advanced inspection systems.

The second opportunity is pathfinding, which has been brought on by next generation pitch (the distance between a line and a space, as two wires cannot touch, thus the space is needed) requirements, which benefit from both patterned reticle inspection and EUV post pellicle (after the thin membrane has been applied to the photomask to prevent contamination) inspection.

KLAC is also expected to benefit from active legislation around the globe to boost regional chip manufacturing. The CHIPS Act passed on July 27, 2022, is expected to deploy $52 billion over a five-year span, allowing no limit per company, but granting up to $3 billion per facility.

The European equivalent is expecting to shell out $43 billion and the Chinese equivalent plans to spend $150 billion over a 10-year span. We forecast a $100 billion WFE market in 2022, based on incremental improvement in the ability to source critical components for tool subsystems in calendar Q4 2022.

Our 12-month target price of $458 is based on a P/E of 19x our CY 23 EPS estimate of $24.10, above peers due to our view that KLAC will outpace industry growth through 2023, as process control remains one of fastest growing segments of WFE and is expected to be less impacted by cyclicality due to its increased relevance at the leading-edge.

Risks to our recommendation and target price include weaker-than-expected industry sales and more competition pressuring KLAC’s market share.

Subscribe to CFRA Research's The Outlook here…